Dieses Plugin ist nicht mit den jüngsten 3 Hauptversionen von WordPress getestet worden. Es wird möglicherweise nicht mehr gewartet oder unterstützt und kann Kompatibilitätsprobleme haben, wenn es mit neueren Versionen von WordPress verwendet wird.

Add XDC Brush to SyntaxHighlighter Evolved

Beschreibung

This is a WordPress Plugin that adds support for Xilinx Design Constraint (XDC) files to the SyntaxHighlighter Evolved plugin.

Rezensionen

Für dieses Plugin gibt es keine Rezensionen.

Mitwirkende & Entwickler

„Add XDC Brush to SyntaxHighlighter Evolved“ ist Open-Source-Software. Folgende Menschen haben an diesem Plugin mitgewirkt:

Mitwirkende